首页 > 产品 > 模拟与混合信号 > TI 高精度实验室-时钟和计时:锁相环基础知识 >

实时时钟 (RTC) 和计时器

最新课程

热门课程

2.2 TI 高精度实验室-时钟和计时:锁相环构建模块第2部分

[音乐播放] 大家好,欢迎观看 TI 高精度实验室 - PLL 构建块 第 2 部分。 在每个电子 安全系统内的某个位置, 大家几乎都可以看到锁相环, 这些锁相环的类型和配置 不一。 本培训模块属于 第 2 部分,这部分 延续了第 1 部分的内容, 介绍锁相环 (PLL) 内部的 一些基本核心块。 回想第 1 部分, 我们了解到 用参考振荡器频率 f osc 除以 R 计数器 可以得出 相位检测器频率 f PD。 用 VCO 频率 f VCO 除以 N 计数器 可以得出相位检测器的 反馈信号,我们将其称为 f sub N。 相位检测器和电荷泵 输出校正电流, 该电流与两个 输入 f N 和 f PD 之间的 相位误差成正比。 环路滤波器 对这些校正电流进行整流, 并生成调谐电压 以控制 VCO 输出频率。 我们来仔细看看 参考输入、相位检测器、 电荷泵和 环路滤波器构建块。 为了生成信号,PLL 需要 参考振荡器频率 f osc。 各种类型的来源如下。 晶体振荡器 (XO) 以特定频率 谐振。 它包括谐振 晶体和有源电路, 例如逆变器。 温度补偿 晶体振荡器 (TCXO) 可以感测温度差异, 从而保持良好的 误差频率精度。 恒温控制 晶体振荡器 (OCXO) 是一种用于 将温度控制在 恒定水平的 晶体振荡器。 其精度高于 TCXO。 此外,还可以 使用其他设备的输出 来驱动 PLL。 这些设备 可能属于 TI 的 LMK 系列产品。 也可能是 嵌入了传输数据的 恢复时钟。 例如,在 SERDES 应用中 就是这种情况, 将并行数据 放入串行流中, 以便更轻松地 通过长导线进行传输。 通常,相位频率 检测器 (PFD) 与 电荷泵集成在一起。 它们共同控制 环路滤波器的电压, 将 VCO 驱动至 正确的频率。 相位频率 检测器比较 N 和 R 分频器的 输出,并将其 转换为校正电压。 电荷泵 将此校正电压 转换为校正电流。 如果比较的 频率相同, 则认为 PLL 处于 锁定状态。 从理论上讲, 电荷泵的输出 应为高阻抗状态。 在实践中,存在 狭窄的 正负交替电流脉冲, 并且其周期 等于相位 检测器的周期。 解锁时, 这些脉冲会变得更宽, 并且方向相同。 这将调节 环路滤波器的电压, 以控制 VCO 输出频率。 例如,当输出 频率太低时, 会生成宽的正脉冲, 以增加 VCO 调谐电压, 并使 VCO 频率更接近 目标值。 环路滤波器 是一种低通滤波器, 可将电荷泵输出的 校正电流累积到 一个电压中,并对 性能产生巨大影响。 环路滤波器确定 PLL 环路带宽, 而环路带宽影响 相位噪声杂散和变化速度。 TI 的 PLLATINUM sim 软件有助于设计和仿真 环路滤波器的 性能以及环路带宽的 影响。 PLL 闭环传递函数 对相位噪声、 杂散和锁定时间 有很大的影响。 PLL 环路带宽 以 BW 表示, 是影响这些 性能指标的 关键参数。 PLL 的总体 相位噪声可分为 两个主要因素。 在环路外部, 相位噪声主要包括 VCO 和输出分频器。 在环路内部,除了 环路带宽外,相位噪声 还包括几乎其他所有组件。 其他参数包括 相位裕度、伽玛 和极点比。 环路滤波器专为 这些参数和所需的 环路动力学而设计。 如果端分频器值、 电荷泵或 VCO 增益 发生了 重大变化, 环路动力学 也将随之改变, 可能需要 重新设计环路滤波器。 为了充分减少抖动, 请选择 BW JIT 作为环路带宽,以更大程度 减小曲线下方的面积。 在这个带宽下, 自激 VCO 与相位噪声是相等的。 我们来看看原因。 将环路带宽设置为 更小的偏移 BW 1, 会使可求面积 增加红色部分。 将环路带宽设置为 更大的 BW 2, 则增加蓝色部分。 环路滤波器的设计 需要权衡。 尽管最佳 环路带宽 BW JIT 的 抖动最小, 但点相位噪声、杂散和 锁定时间之类的其他指标会驱动 不同的要求, 并提供充分的理由来选择 BW JIT 以外的 BW。 设计时可以采用 这样的策略: 首先,将 BW 设置为 BW JIT, 然后,查看锁定时间、 杂散和相位噪声, 相应地进行调整 以满足这些设计目标。 如果选择此最佳抖动环路带宽 作为环路带宽, 则可为相位噪声、杂散 和所有参数 提供可接受的性能, 直接使用即可。 但是,如果 测量值或仿真 表明 杂散太高, 则可以减小环路 带宽以改善杂散。 另一方面,如果 锁定时间太慢, 请考虑增加 环路带宽。 本视频到此结束。 谢谢观看。 我们设置了一个包含 四个问题的简单测验, 请尝试完成此测验,检验 您对视频内容的理解程度。 如果需要有关 TI 时钟和计时以及 相关产品的更多信息, 请访问 ti.com。

[音乐播放]

大家好,欢迎观看 TI 高精度实验室 - PLL 构建块

第 2 部分。

在每个电子 安全系统内的某个位置,

大家几乎都可以看到锁相环, 这些锁相环的类型和配置

不一。

本培训模块属于 第 2 部分,这部分

延续了第 1 部分的内容, 介绍锁相环 (PLL) 内部的

一些基本核心块。

回想第 1 部分, 我们了解到

用参考振荡器频率 f osc 除以 R 计数器

可以得出 相位检测器频率 f PD。

用 VCO 频率 f VCO 除以 N 计数器

可以得出相位检测器的 反馈信号,我们将其称为

f sub N。

相位检测器和电荷泵

输出校正电流,

该电流与两个 输入 f N 和 f PD 之间的

相位误差成正比。

环路滤波器 对这些校正电流进行整流,

并生成调谐电压 以控制 VCO 输出频率。

我们来仔细看看 参考输入、相位检测器、

电荷泵和 环路滤波器构建块。

为了生成信号,PLL 需要

参考振荡器频率 f osc。

各种类型的来源如下。

晶体振荡器 (XO) 以特定频率

谐振。

它包括谐振 晶体和有源电路,

例如逆变器。

温度补偿 晶体振荡器 (TCXO)

可以感测温度差异, 从而保持良好的

误差频率精度。

恒温控制 晶体振荡器 (OCXO)

是一种用于 将温度控制在

恒定水平的 晶体振荡器。

其精度高于 TCXO。

此外,还可以 使用其他设备的输出

来驱动 PLL。

这些设备 可能属于 TI 的

LMK 系列产品。

也可能是 嵌入了传输数据的

恢复时钟。

例如,在 SERDES 应用中 就是这种情况,

将并行数据 放入串行流中,

以便更轻松地 通过长导线进行传输。

通常,相位频率 检测器 (PFD) 与

电荷泵集成在一起。

它们共同控制 环路滤波器的电压,

将 VCO 驱动至 正确的频率。

相位频率 检测器比较

N 和 R 分频器的 输出,并将其

转换为校正电压。

电荷泵 将此校正电压

转换为校正电流。

如果比较的 频率相同,

则认为 PLL 处于 锁定状态。

从理论上讲, 电荷泵的输出

应为高阻抗状态。

在实践中,存在 狭窄的

正负交替电流脉冲, 并且其周期

等于相位 检测器的周期。

解锁时, 这些脉冲会变得更宽,

并且方向相同。

这将调节 环路滤波器的电压,

以控制 VCO 输出频率。

例如,当输出 频率太低时,

会生成宽的正脉冲,

以增加 VCO 调谐电压, 并使 VCO 频率更接近

目标值。

环路滤波器 是一种低通滤波器,

可将电荷泵输出的 校正电流累积到

一个电压中,并对 性能产生巨大影响。

环路滤波器确定 PLL 环路带宽,

而环路带宽影响 相位噪声杂散和变化速度。

TI 的 PLLATINUM sim 软件有助于设计和仿真

环路滤波器的 性能以及环路带宽的

影响。

PLL 闭环传递函数 对相位噪声、

杂散和锁定时间 有很大的影响。

PLL 环路带宽 以 BW 表示,

是影响这些 性能指标的

关键参数。

PLL 的总体 相位噪声可分为

两个主要因素。

在环路外部, 相位噪声主要包括

VCO 和输出分频器。

在环路内部,除了 环路带宽外,相位噪声

还包括几乎其他所有组件。

其他参数包括 相位裕度、伽玛

和极点比。

环路滤波器专为 这些参数和所需的

环路动力学而设计。

如果端分频器值、 电荷泵或 VCO 增益

发生了 重大变化,

环路动力学 也将随之改变,

可能需要 重新设计环路滤波器。

为了充分减少抖动, 请选择 BW JIT

作为环路带宽,以更大程度 减小曲线下方的面积。

在这个带宽下, 自激 VCO 与相位噪声是相等的。

我们来看看原因。

将环路带宽设置为 更小的偏移 BW 1,

会使可求面积 增加红色部分。

将环路带宽设置为 更大的 BW 2,

则增加蓝色部分。

环路滤波器的设计 需要权衡。

尽管最佳 环路带宽 BW JIT 的

抖动最小, 但点相位噪声、杂散和

锁定时间之类的其他指标会驱动 不同的要求,

并提供充分的理由来选择 BW JIT 以外的 BW。

设计时可以采用 这样的策略:

首先,将 BW 设置为 BW JIT, 然后,查看锁定时间、

杂散和相位噪声, 相应地进行调整

以满足这些设计目标。

如果选择此最佳抖动环路带宽 作为环路带宽,

则可为相位噪声、杂散 和所有参数

提供可接受的性能, 直接使用即可。

但是,如果 测量值或仿真

表明 杂散太高,

则可以减小环路 带宽以改善杂散。

另一方面,如果 锁定时间太慢,

请考虑增加 环路带宽。

本视频到此结束。

谢谢观看。

我们设置了一个包含 四个问题的简单测验,

请尝试完成此测验,检验 您对视频内容的理解程度。

如果需要有关 TI 时钟和计时以及

相关产品的更多信息, 请访问 ti.com。

视频报错
手机看
扫码用手机观看
收藏本课程

视频简介

2.2 TI 高精度实验室-时钟和计时:锁相环构建模块第2部分

所属课程:TI 高精度实验室-时钟和计时:锁相环基础知识 发布时间:2020.07.28 视频集数:8 本节视频时长:00:08:13
如果您想了解PLL(相位锁定环),这是一个很好的起点。该视频将继续讨论相位检测器,电荷泵和环路滤波器。
TI培训小程序